CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga de2

搜索资源列表

  1. DE2

    0下载:
  2. FPGA DE2 EP2C35F672C6 开发板原理图、使用手册-FPGA DE2 EP2C35F672C6 development board schematics, user manual
  3. 所属分类:Other systems

    • 发布日期:2017-11-01
    • 文件大小:56356845
    • 提供者:yifeng
  1. DE2-SYSTEM

    0下载:
  2. FPGA DE2板开发源程序,FPGA与SOPC设计教程——DE2实践相配套的源代码-FPGA DE2 board development source, FPGA and SOPC design tutorials- DE2 practice of supporting source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-06
    • 文件大小:53058697
    • 提供者:xiuxiu
  1. DE2_schematics

    0下载:
  2. Altera FPGA DE2的原理图,相信有很大的帮助,经典的FPGA设计电路及相关的接口都有了。-Altera FPGA DE2 the schematic diagram, I believe there is a great help, classic design FPGA circuits and related interfaces have.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:388639
    • 提供者:skytech
  1. de2_dac_lcd

    0下载:
  2. FPGA KIT DE2-35 This project outputs a selected voltaje using VGA DAC, the DAC module is controlled using LCD display and buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:571615
    • 提供者:juan pablo
  1. casacade_leds

    0下载:
  2. Alter kit FPGA de2-35 This project shows a cascade motion through board leds.-Alter kit FPGA de2-35 This project shows a cascade motion through board leds.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2519427
    • 提供者:juan pablo
  1. DE2_TV_PAL

    0下载:
  2. video信号pal制转vga输出,fpga verilong语言编写-fpga pal to vga ,writed in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2042592
    • 提供者:james
  1. FPGA-clock

    0下载:
  2. 基于VHDL的时钟设计(de2开发平台),内含源代码,各模块的时序仿真图,结构原理图,以及完成报告。供大家参考学习。-VHDL-based clock design (de2 development platform), contains the source code, simulation charts of each module, structure diagram, and the mission report. For reference study.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3565764
    • 提供者:Bertrand
  1. FPGA-verilog

    0下载:
  2. 用Verilog语言编写的一些简单的FPGA入门实验,用ALTERA DE2开发板和Quartus_II软件开发环境。包括:流水灯实验、数码管显示实验-With Verilog language preparation some simple introduction experiment, with FPGA ALTERA DE2 development board and Quartus_II software development environment. Include water l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:10264
    • 提供者:星光依旧
  1. FPGA

    0下载:
  2. 本文采用FPGA来模拟实际的乒乓球游戏。本设计是基于Altera 公司的FPGA Cyclone II 芯片EP2C35 的基础上实现,运用Verilog HDL 语言编程,Quartus II 软件上进行编译、仿真,最终在Altera 公司的DE2 开发板上成功实现下载和调试-In this paper, FPGA to simulate the actual tennis game. The design is based on Altera' s FPGA Cyclone II EP
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:183597
    • 提供者:李丽
  1. fpga-foosball

    0下载:
  2. game of foosball. runs on altera de2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4549818
    • 提供者:ash
  1. DE2-VGA-LED

    0下载:
  2. verilog HDL 语言编写的,FPGA的数码管和VGA的显示。调用时不必修改源码,只需引脚映射对就可以-verilog HDL language, FPGA digital and VGA display. Call without having to modify source code, you can just pin on the map
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5794076
    • 提供者:
  1. DE2-platform-hardware-audio-playback

    0下载:
  2. 在FPGA-DE2平台上的纯硬件录音播放实验-Purely on the DE2 platform hardware audio playback experiment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1155517
    • 提供者:rjy
  1. WM8734

    0下载:
  2. this descr iption about sterio audio codec in fpga de2-this descr iption about sterio audio codec in fpga de2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:537683
    • 提供者:Mahmoud
  1. DE2开发板的简单nios实验

    0下载:
  2. 一个基础的FPGA的实验,包括sopc搭建硬件平台到用NIOS II软件编写控制程序。本实验是基于DE2开发板做的,可直接下载入片内观察到流水灯的现象。
  3. 所属分类:VHDL编程

  1. FPGA 电子相框

    1下载:
  2. 这是一个基于 de2,搭建的FPGA电子相框,包括硬件和软件,可以实现十张从SD卡读取图片到LCD上显示,非常正规!!
  3. 所属分类:VHDL编程

  1. DE2_Basic_Computer

    0下载:
  2. Convert DE2 FPGA to Small Computer
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1262592
    • 提供者:frozeus
  1. DE2-70 Experimental Guidebook 2015.321

    0下载:
  2. 学习 FPGA 编程和学习基于 Nios 软核处理器应用项目编程而开发的实验平台。里面有大量实用的实验指导方法和详细的操作步骤。(Learning FPGA programming and learning based on Nios soft-core processor application project programming and development of the experimental platform. There are a lot of practical exper
  3. 所属分类:文章/文档

    • 发布日期:2017-12-21
    • 文件大小:6984704
    • 提供者:asan119
  1. DE2_TV_New_v2

    0下载:
  2. DE2官方板子程序,ALTERA官方代码,cyclone ii EP2C35F672C6N代码(DE2 official board procedures)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-25
    • 文件大小:138240
    • 提供者:蜂蜜柚子
  1. DE2

    0下载:
  2. ALTERA官方板子DE2官方代码,芯片是EP2C35F672C6N,官方经典历程,(ALTERA official board DE2 official code, the chip is EP2C35F672C6N)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:157696
    • 提供者:蜂蜜柚子
  1. altera-de2-ann-master

    1下载:
  2. CNN implementation in Altera FPGA
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:1385472
    • 提供者:BB4616
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com